You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

26 lines
388 B

`include "../Defines.v"
`timescale 1ns/1ps
module yunmips_min_sopc_tb();
reg CLOCK_50;
reg rst;
initial begin
CLOCK_50 = 1'b0;
forever #10 CLOCK_50 = ~CLOCK_50;
end
initial begin
rst = `RstEnable;
#195 rst= `RstDisable;
#1000 $stop;
end
yunmips_min_sopc yunmips_min_sopc0(
.clk(CLOCK_50),
.rst(rst)
);
endmodule